Power reduction of superscalar processor functional units by resizing adder-width

  1. Miñana, G.
  2. Garnica, O.
  3. Hidalgo, J.I.
  4. Lanchares, J.
  5. Colmenar, J.M.
Book Series:
Lecture Notes in Computer Science (including subseries Lecture Notes in Artificial Intelligence and Lecture Notes in Bioinformatics)

ISSN: 0302-9743 1611-3349

ISBN: 9783540290131

Year of publication: 2005

Volume: 3728 LNCS

Pages: 40-48

Type: Conference paper