Synthesis of arithmetic circuitsFPGA, ASIC, and embedded systems

  1. Sutter Capristo, Gustavo Daniel
  2. Deschamps, Jean Pierre
  3. Bioul, Gery Jean Antoine

Verlag: Wiley interscience

ISBN: 978-0-471-68783-2

Datum der Publikation: 2006

Art: Buch